A Plethora of PLAs

The most common cause of a black screen on the old Commodore 64 long boards (link) is a broken Programmed Logic Array (PLA) chip located at position U17. Based on different input signals, the PLA creates chip select signals and controls which chip is to be connected to the data bus. Thus, the PLA is responsible for the implementation of the memory map of the Commodore 64. If the PLA is broken, the MPU and the VIC-II chips cannot access the correct memory and I/O devices anymore. The result is the dreaded black screen!

The original PLA chip went through several revisions. The first version was made by Signetics (the 82S100) back in 1975 and can be found in some of the early motherboard versions of the C64. A clone of the 82S100 is the Fairchild 93459 from 1977 and may also be found in the early versions of the Commodore 64. At some point, Commodore started their own PLA production (after reverse engineering the Signetics PLA) and called them MOS 7700 and MOS 8700 with the label MOS 906114-01. In 1986, another revision of the MOS 8700 was made (the 8700R2) and Commodore exchanged the MOS label to CBM but kept the revision number. However, as seen on this 1986 Assy 250466 long board, Commodore was not very consistent with the parts that they put into their machines, so this motherboard has a PLA with the MOS label…

All PLAs run hot (40-50°C), due to a a high power consumption (on a small area) which may be part of the reason for the high failure rate. Another reason is the corrosion of a protective layer inside the chip due to a manufacturing process. Thus, replacing a broken PLA with another 30 year old MOS PLA chip, is most likely just a brief respite. In order to extent the lifespan of the PLAs functionality, a lot of effort has been put into creating replacement circuits. In this respect, certain timing and electrical contraints must be meet, otherwise the machine becomes unstable and may not work at all! The propagation delay (or gate delay), which is the length of time that it takes for a logic gate to change, is very important for the C64 to work properly. It cannot be too short nor too long.

In general terms, two approaches to create replacement PLAs have been presented. The first is CPLD (complex programmable logic device) based while the other is EPROM (erasable programmable read-only memory) based. As there seem to be strong opinions on each of the approaches, this post will just exhibit some of the after market options to get a replacement PLA for a black screen Commodore 64. To do this, I gathered some of the available PLA versions (or parts to make my own PLA) that can be found online. I got the U17 PLAnkton, Super PLA v3, The Ultimate PLA, Black Edition PLA and a ‘homemade’ EPROM based PLA from a friend. These are the Plethora of PLAs that are presented in this post…

The U17 PLAnkton:

This PLA is CPLD based and uses a XC9536XL chip. This chip consumes only 20mA and it runs very cool! The U17 PLAnkton is created by Eslapion and e5frog and should be compatible with all versions of the Commodore 64 (including the Assy 326298 long boards) as well as the C64 Reloaded boards (link). Furthemore, it should run all cartridges (i.e. Epyx FastLoad & Super Zaxxon), which some of the EPROM based solutions fails to do. In this context, the cartridge version of Super Zaxxon uses a flip-flop to toggle access between the upper and lower half of one of its two ROMs. This causes it to be very sensitive to any timing problem a PLA might have.

The PCB is equipped with gold plated pins and it basically does what it is expected to do – run all your C64 gear and peripherals without any hassle!

More than 500 units have currently been sold worldwide. The U17 PLAnkton is sold in Europe by e5frog and the rest of the world by eslapion (link). It costs 14 Euro per unit  (15 $) + shipping from Sweden/Canada. I ordered two from e5frog and the package had stamps of the Swedish queen and the PLAs were very nicely packed!

The Super PLA V3:

This PLA is also CPLD based and made by Individual Computers (link) using a MACH210 chip. Depending on the placement of the back-side jumpers, it can be used across a variety of computers. The logic of the PLA is based on the Signetics 82S100 chip. However, it does not support the Epyx FastLoad cart, but as I do not own one (nor have any plans to do), this has never been a turning point for me. So far this has worked flawlessly in my C64 Reloaded machine. My SuperPLA V3 came with my C64 Reloaded board (link) and it costs 20 Euro (21 $) + shipping from Germany.

The Ultimate PLA:

This PLA is also CPLD based and can be found here (link). The unit is based on the Altera EPM7032STC44-10 chip and it should run all cartridges (Epyx FastLoad, Super Zaxxon, 1541 Utimate I + II etc.). I have this device in several of my machines and I have never experienced any compatibility problems.

The PLA replacement costs about 16$ + shipping from Italy.

The PLAdvanced+ PLA:

UPDATE: This PLA is also CPLD based and can be found here (link, link). The unit should run all cartridges (Epyx FastLoad, Super Zaxxon, 1541 Utimate I + II etc.). The PLAdvanced+ is made by a German trio – Freak, androSID and Brotboxfan. The uniqueness of the PLAdvanced+ is that it contains nine different PLAs in one! Thus, the device can be used to replace PLAs in the following devices: Commodore 64 (and SX64), 1551 floppy drives, the CBM 6×0, CBM7xo, Commodore 16 (and C116 & plus/4), the CBM 8296 UE5, the CBM 8296 UE6, the P500 U78 and the P500 U88 (and U78).

I have reviewed the device here (link) and I did not experience any issues with it during my tests.

The replacement PLA costs about 16-23 Euros + shipping from Germany depending on the version/configuration.

 The PLAdapter based PLA:

Another approach for making a PLA replacement is using an EPROM. As written earlier, timing is crucial for a stable C64 system. The original Signetics 82S100 chips had a rating of 80ns. A suitable EPROM based solution could therefore be the M27C512-90B6 made by STMicroelectronics with a rating of 90 ns. The problem is that the chip is no longer produced. Another solution is to use the 45ns Atmel AT27C512R EPROM with a PLAdapter PCB from Retro Innovations (link). I got two of these EPROM based PLAs from a British buddy, mongooseman.

This solution is not compatible with the Commodore 64 Version A long boards from 1982 (e.g. KU14194HB) and the Super Zaxxon cartridge. However, I was told that it actually works with the Epyx FastLoad cartridge (I did not test this myself as I don’t have that particular cart…). The EPROM based PLA replacement should therefore be more than fine for the average user. I tried the device in a few Assy 250425 and 250407’s and I experienced no problems whatsoever.

The EPROM’s cost about 3 $ on Ebay, while the PLAdapter boards cost 1.5$ (+ pins and solder gear), so this solution is quite cheap. The binary files for burning your own PLA can be downloaded here (link).

The Black Edition PLA:

Based on the text on the PCB, this PLA is most likely also EPROM based and to my knowledge, it can only be found on Ebay. I cannot see the specific chip used (due to the sticker…), but I assume that it has the same compatibility as any other EPROM based PLA. I have this PLA in two of my machines and I have never experienced any problems. I basically got it because I like the black color…

This PLA replacement costs about 11$ + shipping from Italy.

Making Your Own EPROM Based PLA:

Making your own EPROM based PLA is also possible. As described earlier, adapters can be purchased online, but making your own is also an option… Making a home made PCB may not be for the faint-hearted and doing it wrong may cause damage to the C64 motherboard – so be careful! Mongooseman sent me some images of his home-made PCB for his EPROM based PLA. It even has the sweeet looking Commodore logo. Well done!

Making my own PLA adapter seemed a little too much, but mongooseman was very kind and included parts for making my own EPROM based PLA (well, almost!). I accepted the challenge (of course). I got a few of the Retro Innovations PLAdapter boards and a couple of pre-programmed EPROMS – it does not get any easier than that. All I had to do was basically to solder everything together. It took me about 15 minutes including double checking for short circuits –  don’t want to fry anything, right?  To be able to swap among PLAs for testing other EPROM’s, a socket was installed.

And finally the Atmel AT27C512R 45ns EPROM was inserted. Everything was tested with an Assy 250425 and it booted up without any trouble – nice! I still haven’t managed to crash the machine even after several weeks of use. So I guess the EPROM version of a PLA replacement is not all a bad thing :o)

Final Thoughts:

So, which PLA replacement do I recommend the most – a CPLD or an EPROM based? Well, it really comes down to how much effort you want to put into it. The easy drop-in-replacement would obviously be a CPLD based one. However, if you like to tinker with the hardware, even the EPROM based versions seem to work for the average user and they are usually a little cheaper compared to the CPLD ones. But if you are into exploring the obscure and specific ways of your Commodore 64 where timing and other details really matters and you are using one of the older longs bords, the U17 PLAnkton is the safe choice. Good luck repairing them old black screen long boards!

NOTE: The PLA hardware information presented in this post has been described in further details by Thomas ‘skoe’ Giesel, ‘The C64 PLA Dissected’, 2012 (link), by eslapion on melon64.com (link) & sleepingelephant.com (link) and by the Individual Computers wiki (link). 

© breadbox64.com 2016

19 thoughts on “A Plethora of PLAs”

  1. 15 minutes !!! it took me at least 20 minutes 🙂 Great pictures, great article, well written and covers just about everything a guy/girl needs if they are looking to replace a PLA.
    Oh, I would just like to say, since sending you the eproms, I have tested the homemade PLA with a modern version of the Fast Load cart and so far I have had no problems. Merry Christmas.

  2. he he… I may have used a little longer…like 18 minutes to solder the PCB with the EPROM 🙂 Thanks for the nice comment – and the hardware! Great to hear that the Epyx FastLoad cart also works with the EPROM based PLA replacement. The post has been updated with this information!

  3. Hi Tony, you are probably right! The text on the PCB (PLA-27512 adapter) seems like an EPROM chip size and not a CPLD based chip. Thanks! The text has been updated 🙂

  4. I suggest you take a look at the signals coming out of the ROML and ROMH lines with an oscilloscope when you use EPROMs as PLA replacements vs a genuine Commodore PLA or CPLD based product. You will have a serious surprise.

    Also, Ray Carlsen tested the SuperPLA V3 with boards 326298 NTSC and it will not work because the CASRAM latency is too short.

  5. Hey guys, nice review, thank you. Maybe it’s time to make a black U17 PLAnkton if you shop by colors… 😉
    Nice to see all these, would be interesting if you tried some hardware that is difficult for the PLAs to sort out the better ones. Eslapion put a lot of work into making U17 PLAnkton as compatible as possible.
    There’s also realPLA from Germany that by the looks of it has had a lot of work put into it (maybe too much) and the new Finnish J-PLA, I’m hoping to get one of those next week. Collecting these things are pretty fun.
    … now try and get one of each version of each type 😉

  6. A PLAnkton in black sounds like a must-have! I’ll look into the rest of the PLA flavours available out there – would be nice to collect them all. However, I currently have like 8-10 spare PLAs that are just waiting for one of my machines to break down… 🙂
    By the way, I’ve updated the post to also give credit to eslapion for the development of the U17 PLAnkton – sorry for leaving you out eslapion!

  7. Thanks for including me in your text. I am happy to say PLAnkton has now sold more than 800 units worldwide.

  8. No problem 🙂 Congrats on the 800 unit milestone. It seems that quite a few people are repairing their old C64’s – nice!

  9. Hi MtnBuffalo, I think you forget the RealPLA developed by Skoe. If interested, I sell them in black or green on Forum64.

  10. Hi there, I know that you guys have put a lot of effort into the RealPLA and it will certainly be a nice edition to the ‘plethora of PLAs’ 🙂

  11. I have both a U17 PLAnkton and an Epyx Fastload cartridge and I can say that the device runs perfectly with everything I’ve thrown at it. I can’t yet find anything that doesn’t work with this device, from dozens of cartridges, including the aforementioned Fastload, to Zaxxon, the REU, and everything else I’ve thrown at it. Also it runs very cool and draws a fraction of the current of the original PLA.
    Also, I’ve followed the discussions on the technical issues of PLA replacement and based on my understanding of the issues I cannot recommend any EPROM based PLA replacement or the SuperPLA which costs more and is less compatible.
    IMO the U17 PLAnkton is the best PLA replacement option on the market and I can recommend not only it, but e5frog and eslapion as sellers.

  12. Thanks for your comment and the thorough testing. I would also go for the PLAnkton as the safe PLA replacement option 😉

  13. Is it possible to use a PAL16v8 or PAL20V8 as a suitable PLA replacement? I only ask because I have a stockpile of these and thought it might be possible. Has anyone tried this?

  14. I have recently purchased a PLAnkton and installed it in a SX64. I’ve also used an Epyx Fastload cartridge with no problems at all.

  15. This article predates the PLA20v8. This inexpensive project can handle anything the original PLA can handle. It is inexpensive ($3 to $6 in parts or fully assembled for about $10 to $15)

  16. I have bought some spare parts and also these PLA replacement from Italy ebay axel81l. All the replacement works great..Eprom based or GAL based.So now I have also PLS100N programmed and 82S100..these guys are amazing.

Leave a Comment